[转]UVM入门实验0

一、编译UVM代码
编译文件uvm_compile.sv,等待正常编译结束,在work库中仿真模块uvm_compile,在命令窗口执行run -all。
uvm_compile.sv代码
module uvm_compile;
import uvm_pkg::*;
`include "uvm_macros.svh"

initial begin
`uvm_info("UVM", "Hello, welcome to RKV UVM training!", UVM_LOW)
#1us;
`uvm_info("UVM", "Bye, and more gifts waiting for you!", UVM_LOW)
end
endmodule

UVM验证顶层都必须有import uvm_pkg::*和'include "uvm_macros.svh"这两行代码代表

[转]UVM入门实验0最先出现在Python成神之路

版权声明:
作者:dingding
链接:https://www.techfm.club/p/8132.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>