Chisel教程——04.Chisel中的控制流

控制流
动机
本系列到目前为止,Chisel中的软硬件之间都有很强的对应关系。但引入控制流之后就不一样了,对软硬件的看法就应该有很大的分歧了。
本节会在生成器软件和硬件中都引入控制流。如果重新连接到一个Chisel连线会怎么样呢?如何让一个多路选择器有两个以上的输入呢?本节会给出这两个问题的答案。
最后连接语义
前面提到,Chisel通过运算符:=来连接组件,由于各种原因,允许发射多条连接语句到相同的组件。
对于赋值之后重新赋值的多条语句,最后一条连接语句会生效:
class LastConnect extends Module {
val io = IO(new Bundle {
val in = Input(UInt(4.W))
val out = Output(UInt(4.W))
})
io.out := 1.U
io.out := 2.U
io.out

Chisel教程——04.Chisel中的控制流最先出现在Python成神之路

版权声明:
作者:主机优惠
链接:https://www.techfm.club/p/19274.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>