数字IC面试手撕代码(六)

问题1
一次输入一个32bit的数据,实时输出最大值和次大值
方法
设置两个寄存器,分别用于保存当前的最大值和次大值,当下一个数据到来时,根据这三个数据的情况,更新这两个寄存器: 设这两个寄存器为most_max和sec_max,下一个到来的数据为din,则 当din>most_max时 most_max<=din; sec_max<=most_max; 当sec_max=din时,所有寄存器保持不变。
代码
`timescale 1ns / 1ps
//
// Company:
// Engineer:
//
// Create Date: 2022/03/18 21:37:17
// Design Name:
// Module Name: demo
// Project N

数字IC面试手撕代码(六)最先出现在Python成神之路

版权声明:
作者:siwei
链接:https://www.techfm.club/p/26277.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>