Simulink HDL Coder FPGA初级开发实践(一) LED呼吸灯

前言: 本栏目除特别说明以外,均采用的黑金AX7103开发板,该开发板时钟频率为200M,并且是双端时钟,因此在每个项目中都有一段原语将双端时钟变成200MHz的单端时钟。文章仅作为学习记录,如有不足请在评论区指出,博主不会对各位的问题作出解答,请谅解。博主深知网络上关于HDL Coder的资料十分稀少,特别是中文资料几乎没有,并且官方给出的例子大多挺难不适合入门,因此将自己摸索的过程记录下来,希望给后人一些启发。

文章目录
1. Simulink 模型2. 生成HDL代码3. 管脚图4. simulink文件以及 HDL文件5. 完整使用流程

1. Simulink 模型
HDL_DUT内部 功能简述: 2s由暗变亮,然后2s由亮变暗。 将2s分割为1000个2ms,每个2ms中有1000个基本时间单元,根据基本时间单元亮的个数决定当前2ms的亮度。
2. 生成HDL代码

Simulink HDL Coder FPGA初级开发实践(一) LED呼吸灯最先出现在Python成神之路

版权声明:
作者:cc
链接:https://www.techfm.club/p/27628.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>