fpga 万兆以太网 tcp 协议栈,verilog实现 IP能够实现以太网协议集当中的ARP、ICMP、UDP以及TCP协议

fpga 万兆以太网 tcp 协议栈,verilog实现
IP能够实现以太网协议集当中的ARP、ICMP、UDP以及TCP协议。支持连接10G/40G以太网PHY,组成高速网络通信系统。该IP上传、下传数据BUFFER大小可配置,从而更好的兼容高速卸载或者高速下载等不同场合的应用,同时支持扩展通道个数,以支持更多的连接数。
该IP具备以下功能特点: l 可直接提供源码,内部逻辑结构清晰,代码规范标准 l 对外PHY接口为XGMII接口,应用层接口采用类AXI STREAM接口,易操作 l 与商用网卡对接测试TCP传输速率可达到900MB/s以上 l IP核接收、发送方向内部处理延迟固定 l PING u 支持被动响应 ping指令 u 响应 IGMP查询报文、普通组/特定组查询报文 l ARP功能: u 支持主动建立 ARP 表项 u 支持主动发送 ARP request u 支持 ARP 表项的主动删除;

fpga 万兆以太网 tcp 协议栈,verilog实现 IP能够实现以太网协议集当中的ARP、ICMP、UDP以及TCP协议最先出现在Python成神之路

版权声明:
作者:cc
链接:https://www.techfm.club/p/7482.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>