【无标题】

数字系统实验指导书 实验一 开发环境使用训练实验二 基本语法使用训练实验三 组合、时序逻辑电路设计实验四 有限状态机设计 实验一 开发环境使用训练一、实验目的1.掌握iverilog 进行verilog的编译方法;2.掌握gtkwave进行时序仿真的方法。二、实验仪器1.联网微型计算机 一台2.CG平台三、实验原理Icarus Verilog,简称iVerilog,Verilog HDL 程序编译器。一款轻量版的verilog仿真平台,很适合初学者及小型化仿真需求者,适用于所有环境,各种Linux和UNIX系统以及Windows系统。Icarus Verilog编译器主要包含3个工具:iverilog:用于编译verilog和vhdl文件,进行语法检查,生成可执行文件;vvp:根据可执行文件,生成仿真波形文件;gtkwave:用于打开仿真波形文件,图形化显示波形。参考程序:counter.v文件module co

【无标题】最先出现在Python成神之路

版权声明:
作者:Alex
链接:https://www.techfm.club/p/7550.html
来源:TechFM
文章版权归作者所有,未经允许请勿转载。

THE END
分享
二维码
< <上一篇
下一篇>>